Get better audio by using line input rather than built in converter. https://forum.videolan.org/viewtopic.php?t=105918 https://www.instructables.com/id/EasyCap-DC60-STK1160-VLC-Xubuntu-1310-OpenSource-V/ https://gordonlesti.com/digitize-a-vhs-tape-with-ffmpeg-and-easycap-on-linux/ https://itstillworks.com/capture-usb-video-linux-6938954.html pacmd list-sources pacmd list-sources | awk '/name:.+\.monitor/' # Video input: /dev/video0 # Pulse audio input pulse://alsa_input.pci-0000_00_1b.0.analog-stereo See: * https://itstillworks.com/capture-usb-video-linux-6938954.html * https://forum.videolan.org/viewtopic.php?t=144692 * https://forum.videolan.org/viewtopic.php?t=105918 * https://gordonlesti.com/digitize-a-vhs-tape-with-ffmpeg-and-easycap-on-linux/ * https://www.linuxquestions.org/questions/linux-software-2/video-capture-software-for-vcr-4175572200/ * https://linuxtv.org/wiki/index.php/Easycap * https://superuser.com/questions/234026/video-from-vhs-via-usb-capture-device-on-linux